Verilog project fpgatrabajos

Filtro

Mis búsquedas recientes
Filtrar por:
Presupuesto
a
a
a
Tipo
Habilidades
Idiomas
    Estado del trabajo
    2,000 verilog project fpga trabajados encontrados, precios en EUR
    Descifrado verilog Finalizado left

    A partir del codigo de cisfrado, que facilito. Implementar el codigo para descifrado. Para ello se Implementará el algoritmo de descifrado y comprobarás su funcionamiento usando el mensaje cifrado como entrada y la clave operativa (MSBF). Si la simulación es correcta, el resultado será un bloque de 64 bits a cero (u ocho bytes a cero). A continuación, descrifrarás el mensaje cifrado que faciltaré con la clave operativa asociada. Y colocarás el mensaje en claro en la caja de texto de la tarea. Se proporcionará todos los archivos, claves en privado. Se necesita para el día 2 de Noviembre, es una tarea de estudios, fácil. El tiempo estimado de trabajo es 30 min porque el codigo de cisfrado lo tengo, solo es modif...

    €26 (Avg Bid)
    €26 Oferta promedio
    2 ofertas
    €2268 Oferta promedio
    9 ofertas

    Implemente un sistema de ecualización en tiempo real de tres bandas (bajos, medios y altos) en el FPGA de xilixn. Desarrolle los tres filtros necesarios para el ecualizador, los puede establecer en matlab o labview. Una vez definidos los coeficientes del filtro impleméntelos en el FPGA (a través de Matlab, Laview o Multisim). Se establece un bonus de 4 puntos para el grupo que lo implemente en código VHDL.

    €166 (Avg Bid)
    €166 Oferta promedio
    4 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital int...

    €144 (Avg Bid)
    €144 Oferta promedio
    1 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital int...

    €191 (Avg Bid)
    €191 Oferta promedio
    3 ofertas

    Diseñar un procesador que configure el SPI con el módulo nrf24l01, y luego mandar mensajes en radio frecuencia entre dos módulos nrf24l01

    €181 (Avg Bid)
    €181 Oferta promedio
    3 ofertas

    Diseñar un procesador que configure el SPI con el módulo nrf24l01, y luego mandar mensajes en radio frecuencia entre dos módulos nrf24l01

    €203 (Avg Bid)
    €203 Oferta promedio
    1 ofertas

    Hi Cristhian Leonardo V.,tenras la capacidad para desarrollar un software que pueda correr los equipos bikal de minado que son tarjetas fpga o en su defecto desarrollar una tarjeta fpga que pueda correr algoritmo cryptonitgh v7

    €9 (Avg Bid)
    €9 Oferta promedio
    1 ofertas

    Linux C o C++ Desarrollo de aplicaciones para tarjetas de desarrollo con fpga o procesadores c2000

    €515 (Avg Bid)
    €515 Oferta promedio
    2 ofertas

    Desarrollo de Aplicación LabView en tiempo real sobre FPGA e interfaz en Host.

    €475 (Avg Bid)
    €475 Oferta promedio
    18 ofertas
    Write some software Finalizado left

    Otra o no estoy seguro Otra o no estoy seguro Necesito establecer comunicación tre la fpga y el pc por medio el puerto serial usando protocolo uart, se enviarán datos numéricos dichos datos se ingresan a la fpga por medio de los switc que trae la fpga estos p números sean enviados a la pc y también mostrados en él lid 7 segmentos que tiene la Board. Se usará una nexys 3 . El desarrollador debe entregar los archivos correspondientes al proyecto. Make file ucf y .v necesarios para que funcione además de una breve explicación que puede ser virtual

    €103 (Avg Bid)
    €103 Oferta promedio
    1 ofertas

    necesito transmitir datos numericos entre la fpga nexys 3 y el pc, usando protocolo uart por medio del puerto serial uart, comunicacion asincrona, el proyecto requiere que se lea un numero en binario tomado desde los switchs que trae la tarjeta y muestre el valor ingresado en formato decimal en el lcd 7 segmentos, adicional a eso que esta información sea transmitida via puerto uart al computador. los entregarles son el codigo hecho en verilog,( make file, archivos.v ) ademas de brindar una breve explicacion del trabajo realizado. hay un plazo de 15 dias.

    €31 / hr (Avg Bid)
    €31 / hr Oferta promedio
    5 ofertas

    Necesito para nuestro equipo de 15 ingenieros incorporar dos nuevos ingenieros con ilusión, cierta experiencia y conocimientos en VHDL/Verilog y microprocesadores. Es trabajo a tiempo completo y con estabilidad (2 años). Ubicación: Sevilla y Albacete. Uno en cada sitio.

    €18157 - €45392
    €18157 - €45392
    0 ofertas
    Electrónica Finalizado left

    Estamos en la búsqueda de Electrónicos con experiencia, incorporación inmediata en el desarrollo de proyectos con conocimientos en: • Sistemas embebidos • FPGA´s • Telecomunicaciones • Mecatrónica • Instrumentación y control • Diseño Electrónico y manufactura • Optoelectrónica • PLC´s<br />• Robótica • Control Avanzado • Conocimientos en Telefonía celular (Hardware y Software) • Metrología • Manejo y programación de Microcontroladores • Manejo y programación de dispositivos no tripulados. • Neumática, Hidráulica • Microelectrónica, Nanotecnología • Electro Neum&aac...

    €227 (Avg Bid)
    €227 Oferta promedio
    3 ofertas

    Por favor vea archivo adjunto: El programa es para el dia viernes:

    €108 (Avg Bid)
    €108 Oferta promedio
    2 ofertas

    I'm in need of a specialized expert to carry out an implementation of the NTT (Number-Theoretic Transform) module on a Xilinx Artix-7 FPGA. The main objective of this project is to enhance computational performance. Key requirements include: - Proficient understanding of FPGA programming, particularly expertise in the Xilinx Artix-7 platform. - An in-depth experience in Number Theoretic Transforms. - Ability to develop a highly efficient, low latency, energy-efficient implementation that significantly boosts computational performance. Please only bid if you feel fully confident in meeting these requirements. Your expertise in this niche task will be highly valued.

    €57 (Avg Bid)
    €57 Oferta promedio
    2 ofertas

    I require a skilled Verilog RTL Designer to work on a project that involves designing at an advanced architecture level. Key Requirements: - Proficiency in Verilog: You should have extensive hands-on experience with the Verilog hardware description language as this is the preferred language for this project. - Advanced Architecture Experience: You should be comfortable working with complex RTL design architectures. - Independent Worker: You need to be able to take the lead on designing and debugging RTL without constant guidance. Your primary goal will be designing RTL in Verilog for an advanced architecture. You should be able to translate high-level product specifications into RTL designs, and efficiently debug and test your work. Ideal S...

    €81 (Avg Bid)
    €81 Oferta promedio
    3 ofertas

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    €166 (Avg Bid)
    €166 Oferta promedio
    3 ofertas

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    €470 (Avg Bid)
    €470 Oferta promedio
    16 ofertas

    a comprehensive research paper on an FPGA based Accident Detection and Prevention Software.

    €60 (Avg Bid)
    €60 Oferta promedio
    1 ofertas

    I'm looking for a seasoned research writer with proficiency in technology, specifically FPGA software systems. The focus is on creating a comprehensive research paper on an FPGA based Accident Detection and Prevention Software. Key objectives include but are not limited to: - Understanding of real-time accident detection - Expounding on measures for accident prevention - Thorough explanation of the emergency communication system The ideal freelancer should be knowledgeable about artificial intelligence and its implementation in accident detection systems. Critically, they should be able to dissect and present complex tech language into a comprehensible writing. Evidence of past research work in this field will be a major plus.

    €12 (Avg Bid)
    €12 Oferta promedio
    4 ofertas

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    €24 / hr (Avg Bid)
    €24 / hr Oferta promedio
    30 ofertas

    I'm looking for an expert in VHDL to develop a Field Programmable Gate Array (FPGA) project focused on pitch detection, specifically for speech recognition purposes. The system needs to be able to process live audio input. Required Skills: • Expertise in VHDL • Experience with FPGA development • Knowledge of audio processing, specifically pitch detection for speech recognition purposes If you have the above skills and experience, please make an informed bid.

    €444 (Avg Bid)
    €444 Oferta promedio
    6 ofertas

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    €202 (Avg Bid)
    €202 Oferta promedio
    5 ofertas

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as des...

    €132 (Avg Bid)
    €132 Oferta promedio
    7 ofertas
    10000 4 días left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    €111 (Avg Bid)
    €111 Oferta promedio
    1 ofertas

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    €10 / hr (Avg Bid)
    €10 / hr Oferta promedio
    5 ofertas

    ...for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and dem...

    €167 (Avg Bid)
    €167 Oferta promedio
    12 ofertas

    ...for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and dem...

    €90 (Avg Bid)
    €90 Oferta promedio
    4 ofertas

    ...that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5, ESP32xxx, Arduino or any other microcontroller can be used The main focus of this project ...

    €547 (Avg Bid)
    €547 Oferta promedio
    19 ofertas

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    €16 / hr (Avg Bid)
    €16 / hr Oferta promedio
    11 ofertas

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algo...

    €117 (Avg Bid)
    €117 Oferta promedio
    2 ofertas

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algo...

    €13 (Avg Bid)
    €13 Oferta promedio
    3 ofertas

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your...

    €936 (Avg Bid)
    €936 Oferta promedio
    20 ofertas
    Project for Saddam G. Finalizado left

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    €9 / hr (Avg Bid)
    €9 / hr Oferta promedio
    1 ofertas

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with ...

    €15 (Avg Bid)
    €15 Oferta promedio
    3 ofertas

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    €11 (Avg Bid)
    €11 Oferta promedio
    4 ofertas

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    €36 / hr (Avg Bid)
    €36 / hr Oferta promedio
    12 ofertas

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expe...

    €154 (Avg Bid)
    €154 Oferta promedio
    7 ofertas

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €202 (Avg Bid)
    €202 Oferta promedio
    10 ofertas

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed(to be honest any...The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multi...

    €56 (Avg Bid)
    €56 Oferta promedio
    2 ofertas

    HDL Coder compatible simulink model design will be made with the subject titled MODEL BASED DESIGN OF GAUSS FILTER APPLICATIONS FOR FPGA APPLICATIONS. Gauss filter can be applied on the image. The design will not contain any code and will be designed only with functions.

    €59 (Avg Bid)
    €59 Oferta promedio
    8 ofertas

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €146 (Avg Bid)
    €146 Oferta promedio
    13 ofertas

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €82 (Avg Bid)
    Urgente
    €82 Oferta promedio
    8 ofertas

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €41 (Avg Bid)
    €41 Oferta promedio
    5 ofertas

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming...

    €79 (Avg Bid)
    €79 Oferta promedio
    4 ofertas

    As someone who is keen on enhancing the efficiency of our digital design processes, I am looking for a talented Digital Design Automation Engineer, proficient in C++. Key Project Aspects: Developing SAT solver and DPLL algorithm. 1. C++ programming: The engineer will be required to focus mainly on enhancing and implementing algorithms. 2. Digital circuit design: Basic understanding and experience in digital circuit design is essential. Ideal Profile: - Strong C++ programming skills - Experience in implementing algorithms using C++- Digital Design Experience While the experience in FPGA programming and knowledge on HDL are beneficial, the prime focus will be on C++ software development and digital design. Hence, I'm primarily seeking a candidate who excels in the fie...

    €14 / hr (Avg Bid)
    €14 / hr Oferta promedio
    16 ofertas

    ...create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must emulate a real PCIe device and handle TLP requests. Make sure to use the PCILeech bitstream made for the Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality o...

    €505 (Avg Bid)
    €505 Oferta promedio
    29 ofertas